Digitale Elektronik und Technische Informatik
/homes/beckmanf/dokuwiki/
2025-05-10T11:07:16+0200Digitale Elektronik und Technische Informatik
/homes/beckmanf/dokuwiki/
/homes/beckmanf/dokuwiki/lib/tpl/bootstrap3/images/favicon1.icotext/html2025-04-10T16:32:40+0200beckmanf (beckmanf@undisclosed.example.com)dtlab_t6 - svg to dokuwiki
/homes/beckmanf/dokuwiki/doku.php?id=dtlab_t6&rev=1744295560&do=diff
Schieberegister und Ringzähler mit FPGA und VHDL
Zurück zur Themenübersicht
Aufgabenstellung
Ein Schieberegister wird als Flankendetektor eingesetzt. Der Flankendetektor wird simuliert und mit dem Oszilloskop auf dem FPGA vermessen. Ein Ringzähler steuert die 10 roten LEDS. Der Flankendetektor wird dann als Enablegenerator für den Ringzähler eingesetzt.text/html2025-04-10T16:29:07+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:ringcnt.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Aringcnt.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744295347&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:28:06+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:edge.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Aedge.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744295286&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:26:57+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:edge-detect-timing.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Aedge-detect-timing.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744295217&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:26:02+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:top_shift.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Atop_shift.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744295162&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:24:57+0200beckmanf (beckmanf@undisclosed.example.com)dtlab_t5 - svg to dokuwiki
/homes/beckmanf/dokuwiki/doku.php?id=dtlab_t5&rev=1744295097&do=diff
Arithmetische Grundschaltungen mit FPGA und VHDL
Zurück zur Themenübersicht
Aufgabenstellung
Zahlen werden addiert und in Hexadezimaldarstellung auf den 7-Segmentanzeigen dargestellt. Die Zahlen werden vom Zweierkomplement in eine Betrags- Vorzeichendarstellung gewandelt und so verständlich angezeigt.text/html2025-04-10T16:22:00+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:bin2seg.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Abin2seg.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744294920&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:20:49+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:top_hex.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Atop_hex.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744294849&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:19:41+0200beckmanf (beckmanf@undisclosed.example.com)dtlab_t4 - quartus images in dokuwiki
/homes/beckmanf/dokuwiki/doku.php?id=dtlab_t4&rev=1744294781&do=diff
Kombinatorische Grundschaltungen mit FPGA und VHDL
Zurück zur Themenübersicht
Aufgabenstellung
Mit dem Altera DE 1 Board, der CAD Software und dem VHDL Projektverzeichnis starten Sie mit VHDL und steuern die roten und grünen LEDs auf dem Board abhängig von den Schaltern.
In der Vorbereitung installieren Sie die virtuelle Maschine und das Projektverzeichnis und nehmen eine Änderung am Code vor.text/html2025-04-10T16:17:26+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:quartus-rtl-view.jpg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Aquartus-rtl-view.jpg&ns=public%3Apraktikum_digitaltechnik&rev=1744294646&tab_details=history&mediado=diff&do=media
<img src="/homes/beckmanf/dokuwiki/lib/exe/fetch.php?w=500&h=312&t=1744294646&tok=67b060&media=public:praktikum_digitaltechnik:quartus-rtl-view.jpg" alt="public:praktikum_digitaltechnik:quartus-rtl-view.jpg" />text/html2025-04-10T16:16:43+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:quartus-start.jpg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Aquartus-start.jpg&ns=public%3Apraktikum_digitaltechnik&rev=1744294603&tab_details=history&mediado=diff&do=media
<img src="/homes/beckmanf/dokuwiki/lib/exe/fetch.php?w=500&h=312&t=1744294603&tok=c89e0d&media=public:praktikum_digitaltechnik:quartus-start.jpg" alt="public:praktikum_digitaltechnik:quartus-start.jpg" />text/html2025-04-10T16:11:18+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:top_simple.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Atop_simple.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744294278&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:09:10+0200beckmanf (beckmanf@undisclosed.example.com)dt-code - bilder in dokuwiki
/homes/beckmanf/dokuwiki/doku.php?id=dt-code&rev=1744294150&do=diff
VHDL Entity and Architecture
VHDL splits interface (entity) and implementation (architecture). The interface of a circuit is defined in the entity. The implementation is defined in the architecture.
library ieee;
use ieee.std_logic_1164.all;
entity and_gate is
port(
a_i : in std_ulogic;
b_i : in std_ulogic;
y_o : out std_ulogic);
end and_gate;
architecture rtl of and_gate is
begin
y_o <= a_i and b_i;
end architecture rtl;text/html2025-04-10T16:03:11+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:mux-vhdl.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Amux-vhdl.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744293791&tab_details=history&mediado=diff&do=media
text/html2025-04-10T16:01:40+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:mux.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Amux.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744293700&tab_details=history&mediado=diff&do=media
text/html2025-04-10T15:57:28+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:and_gate.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Aand_gate.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744293448&tab_details=history&mediado=diff&do=media
text/html2025-04-10T15:55:29+0200beckmanf (beckmanf@undisclosed.example.com)public:praktikum_digitaltechnik:and_gate_arch.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=public%3Apraktikum_digitaltechnik%3Aand_gate_arch.svg&ns=public%3Apraktikum_digitaltechnik&rev=1744293329&tab_details=history&mediado=diff&do=media
text/html2025-04-10T15:53:57+0200beckmanf (beckmanf@undisclosed.example.com)and_gate_arch.svg - created
/homes/beckmanf/dokuwiki/doku.php?image=and_gate_arch.svg&ns=&rev=1744293237&tab_details=history&mediado=diff&do=media
text/html2025-03-27T14:28:55+0200beckmanf (beckmanf@undisclosed.example.com)dtlab_t3 - [Auswertung] gemeinsamer Bericht
/homes/beckmanf/dokuwiki/doku.php?id=dtlab_t3&rev=1743082135&do=diff
74HC00 Leistung
Zurück zur Themenübersicht
Aufgabenstellung
Analysieren Sie die Abhängigkeit der Leistungsaufnahme des 74HC00 von der Betriebsspannung und der Frequenz des Eingangssignals.
Vorbereitung
Theorie zur Leistung bei CMOS Schaltungen
CMOS Schaltungen sind aus PMOS und NMOS Transistoren aufgebaut. Für die Erläuterungen zur Leistung wird ein Inverter betrachtet, der aus zwei Transistoren aufgebaut ist. Die NAND Gatter sind aus vier Transistoren aufgebaut, aber die Leistungsbetracht…text/html2025-03-27T14:27:47+0200beckmanf (beckmanf@undisclosed.example.com)dtlab_t2 - [Versuchsbericht] remove link to moodle
/homes/beckmanf/dokuwiki/doku.php?id=dtlab_t2&rev=1743082067&do=diff
74HC00 Verzögerungszeiten
Sie benutzen das Oszilloskop und den Funktionsgenerator um die Verzögerungszeiten der NAND Gatter des 74HC00 zu messen. Zurück zur Themenübersicht
Aufgabenstellung
Im letzten Versuch haben Sie die statischen Eigenschaften des 74HC00 Gatter vermessen. Jetzt vermessen Sie die dynamischen Eingeschaften, d.h. die Verzögerungszeiten.